Wednesday, June 28, 2006

VHDL Not Port

library ieee;
use ieee.std_logic_1164.all;

entity NotPort is
port(
A: in std_logic;
B: out std_logic
);
end NotPort;

architecture DataFlow of NotPort is

begin

B <= not A;

end DataFlow;

0 Comments:

Post a Comment

<< Home