Thursday, December 28, 2006

VHDL Code for 3 INPUT AND PORT

-- TryOUT1.vhd
library ieee;
use ieee.std_logic_1164.all;

entity TryOut1 is
port(
X: in std_logic;
Y: in std_logic;
Z: in std_logic;
A: out std_logic);
end TryOut1;

architecture behaviour of TryOut1 is
begin
process(X,Y,Z)
begin
A<= X and Y and Z;
end process;
end behaviour;

0 Comments:

Post a Comment

<< Home